280G F12

From Vlsiwiki
Revision as of 20:18, 31 October 2012 by Mrg (Talk | contribs)

Jump to: navigation, search

This quarter, we will put a focus on resonant and non-traditional clocking. We will have two presenters each day -- about 30-40 min each. Please select papers on either distributed/monolithic LC, rotary clocking, or standing wave clocking or similar non-traditional clocking papers.


Date Presenter Topic/Paper
10/03/12 Raj,Blake,Seokjoong VLSI-SOC Dry Run ** Will need to start at 10:30am sharp
10/10/12 NONE (VLSI-SoC)
10/17/12 NONE (Matt at NSF)
10/24/12 Matt How to review papers, Read the clock survey I wrote
10/31/12 Raj Standing Wave Clocking

http://ieeexplore.ieee.org/xpl/articleDetails.jsp?tp=&arnumber=280806&contentType=Journals+%26+Magazines&searchField%3DSearch_All%26queryText%3Dsalphasic+clock

http://ieeexplore.ieee.org/xpl/articleDetails.jsp?tp=&arnumber=1219105&contentType=Conference+Publications&searchField%3DSearch_All%26queryText%3D10ghz+global+clock

11/07/12 NONE (Matt at ICCAD)
11/14/12 Riadul
11/21/12 Ben
11/28/12 Bin
12/05/12 Hany


Date Presenter Topic/Paper
/13 Rafael
/13 Elnaz
/13 Jeff
/13 Nihan
/13
/13


Resonant Papers:

Uniform-phase uniform-amplitude resonant-load global clock distributions

Resonant clocking using distributed parasitic capacitance,

Jitter Characteristic in Charge Recovery Resonant Clock Distribution,

Design of resonant global clock distributions

Resonant-Clock Latch-Based Design

Resonant Clock Design for a Power-Efficient High-Volume x86-64 Microprocessor

A. Ishii, et al., “A Resonant Clock 200MHz ARM926EJ-STM Microcontroller,” European Solid-State Circuits Conf., pp. 356-359, 2009.

WOOD, J., EDWARDS, T. C., AND LIPA, S. 2001. Rotary traveling-wave oscillator arrays: A new clock tech- nology . Journal of Solid-State Circuits (JSSC) 36, 11, 1654–1664.

Custom Rotary Clock Router

TASKIN, B., DEMAIO, J., FARELL, O., HAZELTINE, M., AND KETNER, R. 2009. Custom topology rotary clock router with tree subnetworks. Transactions on Design Automation of Electronic Systems (TODAES) 14, 3.


These two would go together: GUTHAUS, M. R. 2011. Distributed LC resonant clock tree synthesis. In International Symposium on Circuits and Systems (ISCAS). 1215–1218. && CONDLEY, W., HU, X., AND GUTHAUS, M. 2011. A methodology for local resonant clock synthesis using lc-assisted local clock buffers. In International Conference on Computer-Aided Design (ICCAD). 503–506.

HU, X. AND GUTHAUS, M. 2012. Distributed LC resonant clock grid synthesis. IEEE Transactions on Circuits and Systems I (TCAS-I).

HU, X., CONDLEY, W., AND GUTHAUS, M. 2012. Library-aware resonant clock synthesis. In Design Automa- tion Conference (DAC).

ROSENFELD, J. AND FRIEDMAN, E. 2006. Design methodology for global resonant h-tree clock distribution networks. International Symposium on Circuits and Systems (ISCAS). **there is a journal version of this too**

CHAN, S., RESTLE, P., SHEPARD, K., JAMES, N., AND FRANCH, R. 2004. A 4.6GHz resonant global clock distribution network. International Solid-State Circuits Conference (ISSCC), 342 – 343.

CHAN, S. C., SHEPARD, K. L., AND RESTLE, P. J. 2003. Design of resonant global clock distributions. International Conference on Computer Design (ICCD).

YU, Z. AND LIU, X. 2009. Implementing multiphase resonant clocking on a finite-impulse response filter. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 17, 11, 1593 – 1601.